PicoBlaze

PicoBlaze ist die Bezeichnung für eine Reihe von drei von Xilinx hergestellten Softcore-Prozessoren zur Verwendung auf ihren FPGAs und CPLDs (siehe: Programmierbare Logikschaltung ). Sie basieren auf einer 8-Bit- RISC- Architektur und können auf der FPGAs der Virtex 4- Familie Geschwindigkeiten von 100 Millionen Anweisungen pro Sekunde erreichen . Die Kernlizenz ermöglicht die kostenlose Verwendung, jedoch nur auf Xilinx-Geräten. Entwicklungstools werden vom Hersteller bereitgestellt, Tools von Drittanbietern sind jedoch insbesondere von Mediatronix erhältlich. Es gibt auch eine kostenlose, komponentenunabhängige Implementierung unter der BSD-Lizenz unter dem Namen PacoBlaze .

Design

Das Design des PicoBlaze hieß ursprünglich KCPSM (für Constant (K) Coded Programmable State Machine , früher Ken Champans PSM ). Ken Chapman war der Xilinx-Systemdesigner, der den Mikrocontroller erfand und entwarf.

Beim Instanziieren eines PicoBlaze-Mikrocontrollers in VHDL muss der Name der jeweiligen KCPSM-Komponente verwendet werden. Zum Beispiel für einen PicoBlaze3-Prozessor:

component kcpsm3 is port ( address : out std_logic_vector(9 downto 0); instruction : in std_logic_vector(17 downto 0); port_id : out std_logic_vector(7 downto 0); write_strobe : out std_logic; out_port : out std_logic_vector(7 downto 0); read_strobe : out std_logic; in_port : in std_logic_vector(7 downto 0); interrupt : in std_logic; interrupt_ack : out std_logic; reset : in std_logic; clk : in std_logic ); end component;

Und hier ist das entsprechende Diagramm:

PicoBlaze1.png

Verweise

  1. (de) "  Mikrocontroller PicoBlaze 8-Bit  " [ Archiv27. September 2007] , Xilinx, Inc. (abgerufen am 25. Juni 2007 )
  2. (in) "  Benutzerhandbuch PicoBlaze 8-Bit  " , Xilinx, Inc. (abgerufen am 25. Juni 2007 )

In Verbindung stehender Artikel

Externe Links

Quellen